Scimago Journal & Country Rank
Display journals with at least Apply
Download data
Title Type SJR H index Total Docs. (2002) Total Docs. (3years) Total Refs. (2002) Total Cites (3years) Citable Docs. (3years) Cites / Doc. (2years) Ref. / Doc. (2002)
1Proceedings - WMCSA'99: 2nd IEEE Workshop on Mobile Computing Systems and Applications conference and proceedings4.93690150161120.000.00US
2Proceedings of the Annual International Conference on Mobile Computing and Networking, MOBICOM conference and proceedings4.2881481749414447479.1224.35US
3Proceedings - IEEE INFOCOM conference and proceedings3.988241195579391029095675.1020.05US
4Digest of Technical Papers - IEEE International Solid-State Circuits Conference conference and proceedings3.3571144033518398343482.382.08US
5Technical Digest - International Electron Devices Meeting conference and proceedings3.279126223673187019366712.938.39US
6Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS conference and proceedings2.9111028020118075862002.6622.59US
7Proceedings of the ACM SIGGRAPH Conference on Computer Graphics conference and proceedings2.73015624142433149613910.5418.04US
8ACM International Conference Proceeding Series conference and proceedings2.663151242993743114960.1315.47US
9Conference Proceedings of the Annual ACM Symposium on Theory of Computing conference and proceedings2.5561049417519184231732.3720.40US
10Digest of Technical Papers - Symposium on VLSI Technology conference and proceedings2.47983016704431673.340.00US
11Conference Record of the Annual ACM Symposium on Principles of Programming Languages conference and proceedings2.395943185908334823.2729.29US
12Proceedings of the ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems conference and proceedings2.372882894842288922.9330.07US
13Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA conference and proceedings2.364943082665547797.5122.17US
14International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS conference and proceedings2.29610640261009167256.4225.23US
15UIST (User Interface Software and Technology): Proceedings of the ACM Symposium conference and proceedings2.207642788572179852.4121.19US
16Proceedings of the ACM Conference on Computer Supported Cooperative Work conference and proceedings2.183684247915147463.1321.79US
17Proceedings. The Computer Security Foundations Workshop III conference and proceedings2.070622467505196643.0021.04US
18Proceedings of the Annual ACM Symposium on Theory of Computing conference and proceedings2.0471220850200842.350.00US
19Proceedings of the IEEE Computer Society Symposium on Research in Security and Privacy conference and proceedings1.957722263477193623.5121.68US
20Proceedings of the SIGGRAPH/Eurographics Workshop on Graphics Hardware conference and proceedings1.93844151539158143.8726.07US
21Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI) conference and proceedings1.7711153083773317813.9125.77US
22Proceedings - Design Automation Conference conference and proceedings1.761130169479236913634762.9114.02US
23International Conference on Network Protocols conference and proceedings1.75140010501801041.520.00US
24Second International Workshop on Networked Group Communcation (NGC 2000) conference and proceedings1.714601001791.700.00US
25Proceedings of the 26th International Conference on Very Large Data Bases, VLDB'00 conference and proceedings1.701390910316873.470.00US
26Proceedings of the IEEE VLSI Test Symposium conference and proceedings1.47660611957983881931.9613.08US
27Proceedings of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting conference and proceedings1.465355180457121791.908.96US
282000 1st Annual Workshop on Mobile and Ad Hoc Networking and Computing, MobiHOC 2000 conference and proceedings1.43918025072242.880.00US
29Proceedings of the Annual International Symposium on Microarchitecture, MICRO conference and proceedings1.4251243892797265913.0220.97US
30Annual Proceedings - Reliability Physics (Symposium) conference and proceedings1.411517919911463721981.8714.51US
31Conference Proceedings - IEEE Applied Power Electronics Conference and Exposition - APEC conference and proceedings1.4039718055617794215530.849.88US
32IEEE Symposium on VLSI Circuits, Digest of Technical Papers conference and proceedings1.3896617728010333842781.525.84US
33Proceedings of the ACM SIGCOMM Internet Measurement Workshop conference and proceedings1.37516018052172.890.00US
34American Society of Mechanical Engineers, Tribology Division, TRIB conference and proceedings1.3641010212.000.00US
35VLDB 2001 - Proceedings of 27th International Conference on Very Large Data Bases conference and proceedings1.35644010103091003.060.00US
36Proceedings of the ACM Conference on Computer and Communications Security conference and proceedings1.3312054180931236772.8822.71US
37Proceeding of the Sixth ACM SIGKDD International Conference on Knowledge Discovery and Data Mining conference and proceedings1.318290510126502.470.00US
38Proceedings of the ACM SIGPLAN International Conference on Functional Programming, ICFP conference and proceedings1.31457295265697501.8622.62US
39Proceedings of the 2001 ACM International Symposium on Mobile Ad Hoc Networking and Computing: MobiHoc 2001 conference and proceedings1.31217023041221.780.00US
40Proceedings of the International Workshop on Network and Operating System Support for Digital Audio and Video conference and proceedings1.29237112319155222.3917.36US
41Proceedings - Symposium on Logic in Computer Science conference and proceedings1.29069441239572231221.3921.75US
42IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers conference and proceedings1.2679913030822515673052.0417.32US
43Proceedings of the Annual ACM-SIAM Symposium on Discrete Algorithms conference and proceedings1.25010813041422535654121.4517.33US
44IEEE International Test Conference (TC) conference and proceedings1.2338318946119427874601.6910.28US
45Proceedings of the Annual ACM Symposium on Principles of Distributed Computing conference and proceedings1.22177441156391941131.6414.52US
46Proceedings of the IEEE Visualization Conference conference and proceedings1.174858421715974632141.8719.01US
47Proceedings - IEEE International Conference on Robotics and Automation conference and proceedings1.17322269518489374227318441.2413.49US
48European Conference on Optical Communication, ECOC conference and proceedings1.1485841635823955123521.435.76US
49Proceedings of the Annual Symposium on Computational Geometry conference and proceedings1.13360451497452301471.6416.56US
50Proceedings of the Conference on Designing Interactive Systems: Processes, Practices, Methods, and Techniques, DIS conference and proceedings1.12655605380163521.1913.35US
Metrics based on Scopus® data as of March 2024
Developed by:
Scimago Lab
Powered by:
Powered by scopus

Follow us on @ScimagoJR

Scimago Lab, Copyright 2007-2024. Data Source: Scopus®

Legal Notice

Privacy Policy