1 | Proceedings - IEEE INFOCOM | conference and proceedings | 4.310 | 241 | 232 | 586 | 4665 | 4063 | 576 | 6.37 | 20.11 | 15.18 | |
2 | Technical Digest - International Electron Devices Meeting | conference and proceedings | 4.007 | 126 | 270 | 679 | 1962 | 2177 | 676 | 3.35 | 7.27 | 13.92 | |
3 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 2.967 | 114 | 419 | 754 | 789 | 1315 | 749 | 1.75 | 1.88 | 9.38 | |
4 | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | conference and proceedings | 2.372 | 66 | 83 | 398 | 488 | 746 | 394 | 2.08 | 5.88 | 5.36 | |
5 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 2.308 | 83 | 89 | 85 | 455 | 217 | 85 | 0.00 | 5.11 | 14.06 | |
6 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 2.202 | 97 | 32 | 27 | 777 | 103 | 26 | 3.81 | 24.28 | 15.69 | |
7 | Proceedings of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting | conference and proceedings | 2.155 | 35 | 49 | 93 | 528 | 150 | 91 | 1.61 | 10.78 | 11.63 | |
8 | Proceedings - Design Automation Conference | conference and proceedings | 1.988 | 130 | 183 | 472 | 2466 | 1451 | 469 | 3.08 | 13.48 | 14.08 | |
9 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 1.922 | 124 | 36 | 102 | 949 | 359 | 99 | 3.54 | 26.36 | 7.96 | |
10 | Proceedings of the International Workshop on Network and Operating System Support for Digital Audio and Video | conference and proceedings | 1.708 | 37 | 9 | 34 | 145 | 110 | 32 | 3.24 | 16.11 | 30.00 | |
11 | Proceedings of the IEEE VLSI Test Symposium | conference and proceedings | 1.648 | 60 | 56 | 194 | 823 | 400 | 191 | 2.00 | 14.70 | 15.83 | |
12 | Proceedings of the 2001 ACM International Symposium on Mobile Ad Hoc Networking and Computing: MobiHoc 2001 | conference and proceedings | 1.645 | 17 | 0 | 23 | 0 | 117 | 22 | 5.09 | 0.00 | 0.00 | |
13 | Proceedings of the ACM International Workshop on Wireless Sensor Networks and Applications | conference and proceedings | 1.616 | 12 | 0 | 14 | 0 | 119 | 13 | 8.50 | 0.00 | 0.00 | |
14 | IEEE International Test Conference (TC) | conference and proceedings | 1.557 | 83 | 184 | 491 | 2280 | 906 | 488 | 1.74 | 12.39 | 12.12 | |
15 | VLDB 2001 - Proceedings of 27th International Conference on Very Large Data Bases | conference and proceedings | 1.527 | 44 | 0 | 101 | 0 | 583 | 100 | 5.77 | 0.00 | 0.00 | |
16 | Conference Proceedings - IEEE Applied Power Electronics Conference and Exposition - APEC | conference and proceedings | 1.505 | 97 | 188 | 550 | 1712 | 532 | 545 | 1.01 | 9.11 | 12.08 | |
17 | Proceedings of the International Symposium on Physical Design | conference and proceedings | 1.479 | 51 | 33 | 106 | 523 | 222 | 103 | 2.00 | 15.85 | 12.50 | |
18 | Proceedings - IEEE International Conference on Robotics and Automation | conference and proceedings | 1.342 | 222 | 717 | 2023 | 9921 | 3014 | 2015 | 1.34 | 13.84 | 11.74 | |
19 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 1.306 | 156 | 20 | 22 | 544 | 59 | 21 | 2.68 | 27.20 | 12.96 | |
20 | Proceedings of the 2nd Internet Measurement Workshop (IMW 2002) | conference and proceedings | 1.275 | 13 | 0 | 17 | 0 | 63 | 16 | 3.71 | 0.00 | 0.00 | |
21 | IEEE Radio Frequency Integrated Circuits Symposium, RFIC, Digest of Technical Papers | conference and proceedings | 1.203 | 50 | 171 | 249 | 1178 | 292 | 246 | 1.26 | 6.89 | 10.57 | |
22 | Proceeding of the Sixth ACM SIGKDD International Conference on Knowledge Discovery and Data Mining | conference and proceedings | 1.171 | 29 | 0 | 51 | 0 | 130 | 50 | 0.00 | 0.00 | 0.00 | |
23 | Proceedings of Sixth ACM Symposium on Access Control Models and Technologies (SACMAT 2001) | conference and proceedings | 1.156 | 9 | 0 | 16 | 0 | 44 | 15 | 2.75 | 0.00 | 0.00 | |
24 | Annual ACM Symposium on Parallel Algorithms and Architectures | conference and proceedings | 1.139 | 44 | 56 | 113 | 916 | 156 | 109 | 1.45 | 16.36 | 17.42 | |
25 | IEEE International Workshop on Quality of Service, IWQoS | conference and proceedings | 1.128 | 38 | 0 | 57 | 0 | 151 | 54 | 2.07 | 0.00 | 0.00 | |
26 | Proceedings - 4th International Conference on MultiAgent Systems, ICMAS 2000 | conference and proceedings | 1.115 | 25 | 0 | 101 | 0 | 207 | 100 | 0.00 | 0.00 | 0.00 | |
27 | Technical Digest - GaAs IC Symposium (Gallium Arsenide Integrated Circuit) | conference and proceedings | 1.091 | 22 | 69 | 192 | 625 | 186 | 189 | 1.14 | 9.06 | 7.55 | |
28 | American Society of Mechanical Engineers, Tribology Division, TRIB | conference and proceedings | 1.049 | 1 | 0 | 1 | 0 | 2 | 1 | 2.00 | 0.00 | 0.00 | |
29 | 2002 IEEE Open Architectures and Network Programming Proceedings, OPENARCH 2002 | conference and proceedings | 1.045 | 9 | 0 | 15 | 0 | 29 | 14 | 1.93 | 0.00 | 0.00 | |
30 | PESC Record - IEEE Annual Power Electronics Specialists Conference | conference and proceedings | 1.033 | 100 | 320 | 967 | 3114 | 701 | 959 | 0.77 | 9.73 | 10.42 | |
31 | International Symposium on Low Power Electronics and Design, Digest of Technical Papers | conference and proceedings | 1.007 | 47 | 0 | 144 | 0 | 293 | 140 | 2.54 | 0.00 | 0.00 | |
32 | Annual Proceedings - Reliability Physics (Symposium) | conference and proceedings | 0.998 | 51 | 121 | 213 | 1411 | 295 | 211 | 1.19 | 11.66 | 14.86 | |
33 | Proceedings of the fifth ACM International Workshop on Wireless Mobile Multimedia | conference and proceedings | 0.947 | 8 | 0 | 13 | 0 | 18 | 12 | 1.38 | 0.00 | 0.00 | |
34 | Proceedings of the Custom Integrated Circuits Conference | conference and proceedings | 0.938 | 58 | 152 | 361 | 1471 | 390 | 359 | 1.07 | 9.68 | 11.40 | |
35 | Conference Record - IAS Annual Meeting (IEEE Industry Applications Society) | conference and proceedings | 0.925 | 87 | 301 | 1305 | 3400 | 798 | 1297 | 0.66 | 11.30 | 10.25 | |
36 | Proceedings of the European Test Workshop | conference and proceedings | 0.918 | 17 | 24 | 36 | 310 | 34 | 34 | 0.94 | 12.92 | 23.08 | |
37 | IEEE MTT-S International Microwave Symposium Digest | conference and proceedings | 0.896 | 80 | 592 | 1535 | 4556 | 1459 | 1529 | 1.03 | 7.70 | 11.10 | |
38 | European Conference on Optical Communication, ECOC | conference and proceedings | 0.890 | 58 | 0 | 774 | 0 | 893 | 764 | 1.15 | 0.00 | 0.00 | |
39 | Proceedings - 3rd International Workshop on Policies for Distributed Systems and Networks, POLICY 2002 | conference and proceedings | 0.859 | 15 | 0 | 31 | 0 | 77 | 30 | 2.48 | 0.00 | 0.00 | |
40 | European Solid-State Circuits Conference | conference and proceedings | 0.858 | 40 | 177 | 459 | 1321 | 279 | 451 | 0.63 | 7.46 | 9.00 | |
41 | Conference on Optical Fiber Communication, Technical Digest Series | conference and proceedings | 0.849 | 52 | 677 | 1522 | 4732 | 1828 | 1517 | 1.35 | 6.99 | 12.34 | |
42 | Proceedings of the IEEE Micro Electro Mechanical Systems (MEMS) | conference and proceedings | 0.836 | 61 | 177 | 352 | 1378 | 616 | 349 | 1.96 | 7.79 | 13.36 | |
43 | Proceedings of the Seventh ACM SIGKDD International Conference on Knowledge Discovery and Data Mining | conference and proceedings | 0.825 | 29 | 0 | 46 | 0 | 117 | 45 | 2.54 | 0.00 | 0.00 | |
44 | Proceedings ASE 2000: 15th IEEE International Conference on Automated Software Engineering | conference and proceedings | 0.805 | 17 | 0 | 45 | 0 | 78 | 44 | 0.00 | 0.00 | 0.00 | |
45 | Second International Workshop on Networked Group Communcation (NGC 2000) | conference and proceedings | 0.803 | 6 | 0 | 10 | 0 | 25 | 9 | 0.00 | 0.00 | 0.00 | |
46 | Proceedings of the First International Conference on Knowledge Capture | conference and proceedings | 0.800 | 11 | 0 | 13 | 0 | 44 | 12 | 3.38 | 0.00 | 0.00 | |
47 | Proceedings - International Symposium on Asynchronous Circuits and Systems | conference and proceedings | 0.795 | 17 | 0 | 25 | 0 | 45 | 24 | 1.00 | 0.00 | 0.00 | |
48 | 2000 International Pulp Bleaching Conference: Oral Presentations | conference and proceedings | 0.791 | 8 | 0 | 39 | 0 | 18 | 38 | 0.00 | 0.00 | 0.00 | |
49 | Proceedings - IEEE Workshop on Content-Based Access of Image and Video Libraries, CBAIVL 2000 | conference and proceedings | 0.769 | 11 | 0 | 21 | 0 | 49 | 20 | 0.00 | 0.00 | 0.00 | |
50 | Proceedings of the International Conference on Autonomous Agents | conference and proceedings | 0.765 | 77 | 247 | 367 | 2784 | 516 | 362 | 1.47 | 11.27 | 20.69 | |