1 | Proceedings - IEEE INFOCOM | conference and proceedings | 3.596 | 241 | 271 | 692 | 6070 | 6437 | 680 | 8.54 | 22.40 | |
2 | Proceedings - IEEE International Conference on Robotics and Automation | conference and proceedings | 0.419 | 222 | 767 | 2273 | 12154 | 4341 | 2261 | 1.82 | 15.85 | |
3 | Proceedings of SPIE - The International Society for Optical Engineering | conference and proceedings | 0.257 | 193 | 17987 | 50563 | 233039 | 24368 | 49352 | 0.53 | 12.96 | |
4 | Proceedings - ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | conference and proceedings | 0.427 | 185 | 1434 | 3766 | 13966 | 4192 | 3750 | 1.12 | 9.74 | |
5 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 1.745 | 156 | 19 | 62 | 547 | 395 | 59 | 6.80 | 28.79 | |
6 | IEEE International Conference on Intelligent Robots and Systems | conference and proceedings | 0.360 | 150 | 0 | 1128 | 0 | 1932 | 1120 | 1.64 | 0.00 | |
7 | Proceedings - IEEE International Conference on Data Mining, ICDM | conference and proceedings | 0.978 | 143 | 143 | 253 | 1998 | 701 | 249 | 2.79 | 13.97 | |
8 | Proceedings of the IEEE Conference on Decision and Control | conference and proceedings | 0.411 | 139 | 0 | 2943 | 0 | 3292 | 2928 | 1.12 | 0.00 | |
9 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 0.107 | 133 | 59 | 16 | 1272 | 4 | 15 | 0.25 | 21.56 | |
10 | Proceedings - Design Automation Conference | conference and proceedings | 1.497 | 130 | 195 | 537 | 2655 | 2030 | 534 | 3.90 | 13.62 | |
11 | Conference Record - International Conference on Communications | conference and proceedings | 0.632 | 129 | 690 | 2229 | 8667 | 3527 | 2212 | 1.47 | 12.56 | |
12 | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | conference and proceedings | 0.376 | 128 | 163 | 303 | 2932 | 384 | 285 | 1.27 | 17.99 | |
13 | IEEE Vehicular Technology Conference | conference and proceedings | 0.352 | 127 | 1253 | 4064 | 13272 | 3038 | 4030 | 0.65 | 10.59 | |
14 | Proceedings of the American Control Conference | conference and proceedings | 0.326 | 127 | 883 | 2834 | 12888 | 2442 | 2816 | 0.87 | 14.60 | |
15 | Technical Digest - International Electron Devices Meeting | conference and proceedings | 2.336 | 126 | 253 | 795 | 2215 | 2461 | 783 | 2.58 | 8.75 | |
16 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 1.068 | 124 | 34 | 107 | 853 | 636 | 103 | 5.91 | 25.09 | |
17 | SAE Technical Papers | conference and proceedings | 0.237 Q2 | 122 | 3337 | 8369 | 40243 | 3511 | 8361 | 0.44 | 12.06 | |
18 | Proceedings - International Conference on Image Processing, ICIP | conference and proceedings | 0.199 | 116 | 962 | 890 | 9578 | 594 | 885 | 0.67 | 9.96 | |
19 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 1.943 | 114 | 497 | 1030 | 1056 | 1946 | 1024 | 2.28 | 2.12 | |
20 | IEEE International Conference on Image Processing | conference and proceedings | 0.421 | 107 | 0 | 1575 | 0 | 2061 | 1569 | 1.24 | 0.00 | |
21 | Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS | conference and proceedings | 0.992 | 103 | 64 | 114 | 1603 | 257 | 112 | 2.25 | 25.05 | |
22 | GLOBECOM - IEEE Global Telecommunications Conference | conference and proceedings | 0.509 | 102 | 758 | 2291 | 9474 | 2633 | 2275 | 1.12 | 12.50 | |
23 | PESC Record - IEEE Annual Power Electronics Specialists Conference | conference and proceedings | 0.843 | 100 | 460 | 1466 | 5198 | 1166 | 1452 | 0.72 | 11.30 | |
24 | Annual International Conference of the IEEE Engineering in Medicine and Biology - Proceedings | conference and proceedings | 0.181 | 99 | 2016 | 3809 | 21470 | 1204 | 3795 | 0.40 | 10.65 | |
25 | Proceedings of the Annual Hawaii International Conference on System Sciences | conference and proceedings | 0.281 | 98 | 691 | 512 | 138 | 638 | 477 | 0.00 | 0.20 | |
26 | Conference Proceedings - IEEE Applied Power Electronics Conference and Exposition - APEC | conference and proceedings | 1.503 | 97 | 315 | 659 | 3219 | 727 | 652 | 0.93 | 10.22 | |
27 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 1.090 | 97 | 37 | 59 | 884 | 305 | 57 | 5.34 | 23.89 | |
28 | Proceedings -Design, Automation and Test in Europe, DATE | conference and proceedings | 0.523 | 97 | 316 | 582 | 3623 | 1123 | 576 | 1.71 | 11.47 | |
29 | Proceedings of the ACM SIGCOMM Internet Measurement Conference, IMC | conference and proceedings | 2.724 | 97 | 37 | 20 | 752 | 119 | 19 | 5.95 | 20.32 | |
30 | IEEE Intelligent Vehicles Symposium, Proceedings | conference and proceedings | 0.595 | 93 | 150 | 337 | 2022 | 474 | 334 | 1.41 | 13.48 | |
31 | IEEE Wireless Communications and Networking Conference, WCNC | conference and proceedings | 0.705 | 93 | 429 | 548 | 5760 | 1174 | 542 | 2.11 | 13.43 | |
32 | IFAC-PapersOnLine | conference and proceedings | 0.192 Q3 | 92 | 2352 | 5442 | 29952 | 1633 | 5366 | 0.25 | 12.73 | |
33 | Conference Record - IAS Annual Meeting (IEEE Industry Applications Society) | conference and proceedings | 0.854 | 87 | 453 | 1117 | 5395 | 864 | 1106 | 0.74 | 11.91 | |
34 | IEEE Conference on Intelligent Transportation Systems, Proceedings, ITSC | conference and proceedings | 0.250 | 85 | 209 | 712 | 2650 | 371 | 706 | 0.47 | 12.68 | |
35 | Proceedings of the IEEE Visualization Conference | conference and proceedings | 0.547 | 85 | 128 | 161 | 2895 | 662 | 159 | 3.75 | 22.62 | |
36 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 4.628 | 83 | 93 | 188 | 593 | 751 | 185 | 3.99 | 6.38 | |
37 | IEEE International Test Conference (TC) | conference and proceedings | 0.895 | 83 | 182 | 570 | 2212 | 939 | 566 | 1.46 | 12.15 | |
38 | Proceedings - IEEE International Symposium on Circuits and Systems | conference and proceedings | 0.314 | 83 | 1585 | 3612 | 14945 | 2566 | 3594 | 0.70 | 9.43 | |
39 | IEEE MTT-S International Microwave Symposium Digest | conference and proceedings | 0.821 | 80 | 534 | 1616 | 4453 | 2168 | 1607 | 1.44 | 8.34 | |
40 | Proceedings - Electronic Components and Technology Conference | conference and proceedings | 0.483 | 80 | 316 | 931 | 3467 | 1222 | 926 | 1.38 | 10.97 | |
41 | IECON Proceedings (Industrial Electronics Conference) | conference and proceedings | 0.334 | 79 | 463 | 1730 | 5185 | 687 | 1720 | 0.35 | 11.20 | |
42 | Proceedings of the International Conference on Autonomous Agents | conference and proceedings | 0.736 | 77 | 295 | 432 | 3355 | 1074 | 428 | 2.48 | 11.37 | |
43 | Proceedings - Annual Computer Security Applications Conference, ACSAC | conference and proceedings | 0.473 | 76 | 54 | 129 | 1248 | 302 | 126 | 1.86 | 23.11 | |
44 | Proceedings of the Hawaii International Conference on System Science | conference and proceedings | 0.203 | 76 | 1 | 497 | 30 | 496 | 496 | 1.00 | 30.00 | |
45 | IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC | conference and proceedings | 0.294 | 74 | 549 | 1721 | 6507 | 1141 | 1704 | 0.53 | 11.85 | |
46 | IEEE Aerospace Conference Proceedings | conference and proceedings | 0.280 | 73 | 470 | 1196 | 5151 | 748 | 1175 | 0.55 | 10.96 | |
47 | ASHRAE Transactions | conference and proceedings | 0.483 | 70 | 184 | 624 | 2922 | 296 | 619 | 0.53 | 15.88 | |
48 | Proceedings of the IEEE Power Engineering Society Transmission and Distribution Conference | conference and proceedings | 0.324 | 70 | 471 | 1345 | 4690 | 756 | 1334 | 0.17 | 9.96 | |
49 | Proceedings - IEEE Military Communications Conference | conference and proceedings | 0.343 | 69 | 518 | 798 | 5554 | 558 | 790 | 0.71 | 10.72 | |
50 | Proceedings - International Conference on Network Protocols, ICNP | conference and proceedings | 1.439 | 69 | 39 | 98 | 839 | 367 | 96 | 3.49 | 21.51 | |