1 | SIGCOMM 2009 - Proceedings of the 2009 SIGCOMM Conference and Co-Located Workshops, Proceedings of the 2nd ACM Workshop on Online Social Networks, WOSN 2009 | conference and proceedings | 1.141 | 9 | 0 | 13 | 0 | 117 | 11 | 9.00 | 0.00 | |
2 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 2.990 | 133 | 44 | 133 | 1342 | 1194 | 124 | 8.63 | 30.50 | |
3 | MobiSys'10 - Proceedings of the 8th International Conference on Mobile Systems, Applications, and Services | conference and proceedings | 1.005 | 22 | 0 | 28 | 0 | 228 | 25 | 8.14 | 0.00 | |
4 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 2.576 | 156 | 37 | 97 | 1404 | 773 | 90 | 7.06 | 37.95 | |
5 | MobiSys'09 - Proceedings of the 7th ACM International Conference on Mobile Systems, Applications, and Services | conference and proceedings | 1.012 | 20 | 0 | 29 | 0 | 200 | 26 | 6.90 | 0.00 | |
6 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 3.666 | 114 | 216 | 695 | 1218 | 3387 | 659 | 5.39 | 5.64 | |
7 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 1.640 | 97 | 47 | 110 | 1421 | 559 | 102 | 5.01 | 30.23 | |
8 | Proceedings of the ACM SIGCOMM Internet Measurement Conference, IMC | conference and proceedings | 1.292 | 97 | 44 | 125 | 1274 | 623 | 119 | 4.70 | 28.95 | |
9 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 1.633 | 124 | 47 | 146 | 1439 | 673 | 138 | 4.50 | 30.62 | |
10 | Proceedings of the 2009 IEEE International Symposium on Workload Characterization, IISWC 2009 | conference and proceedings | 1.058 | 11 | 0 | 25 | 0 | 102 | 22 | 4.08 | 0.00 | |
11 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 2.119 | 83 | 90 | 268 | 618 | 951 | 262 | 3.91 | 6.87 | |
12 | BUILDSYS 2009 - Proceedings of the 1st ACM Workshop on Embedded Sensing Systems for Energy-Efficiency in Buildings, Held in Conjunction with ACM SenSys 2009 | conference and proceedings | 0.352 | 12 | 0 | 14 | 0 | 54 | 12 | 3.86 | 0.00 | |
13 | Proceedings - 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, NoCS 2009 | conference and proceedings | 0.500 | 20 | 0 | 38 | 0 | 137 | 36 | 3.61 | 0.00 | |
14 | Proceedings of the 7th ACM Conference on Embedded Networked Sensor Systems, SenSys 2009 | conference and proceedings | 0.648 | 20 | 0 | 83 | 0 | 284 | 80 | 3.42 | 0.00 | |
15 | Proceedings of the 7th ACM SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA'09 | conference and proceedings | 0.446 | 17 | 0 | 36 | 0 | 117 | 34 | 3.25 | 0.00 | |
16 | Proceedings - IEEE INFOCOM | conference and proceedings | 1.317 | 241 | 416 | 1386 | 8675 | 4685 | 1374 | 3.22 | 20.85 | |
17 | NOCS 2010 - The 4th ACM/IEEE International Symposium on Networks-on-Chip | conference and proceedings | 0.804 | 19 | 0 | 30 | 0 | 96 | 28 | 3.20 | 0.00 | |
18 | HSCC'10 - Proceedings of the 13th ACM International Conference on Hybrid Systems: Computation and Control | conference and proceedings | 0.952 | 15 | 0 | 32 | 0 | 100 | 30 | 3.13 | 0.00 | |
19 | Proceedings of the 12th IEEE International Workshop on Performance Evaluation of Tracking and Surveillance, PETS-Winter 2009 | conference and proceedings | 0.668 | 9 | 0 | 12 | 0 | 37 | 10 | 3.08 | 0.00 | |
20 | 2009 IEEE International Workshop on Hardware-Oriented Security and Trust, HOST 2009 | conference and proceedings | 0.723 | 15 | 0 | 18 | 0 | 54 | 17 | 3.00 | 0.00 | |
21 | Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS | conference and proceedings | 3.443 | 103 | 90 | 243 | 2249 | 784 | 237 | 3.00 | 24.99 | |
22 | Proceedings - IEEE Computer Security Foundations Symposium | conference and proceedings | 1.488 | 44 | 23 | 73 | 669 | 235 | 67 | 3.00 | 29.09 | |
23 | Innovative Smart Grid Technologies Conference, ISGT 2010 | conference and proceedings | 0.214 | 21 | 0 | 54 | 0 | 159 | 53 | 2.94 | 0.00 | |
24 | Proceedings of ACM Symposium on Access Control Models and Technologies, SACMAT | conference and proceedings | 1.005 | 49 | 24 | 74 | 489 | 259 | 67 | 2.92 | 20.38 | |
25 | Proceedings - 13th IEEE International Enterprise Distributed Object Computing Conference, EDOC 2009 | conference and proceedings | 0.650 | 13 | 0 | 23 | 0 | 67 | 20 | 2.91 | 0.00 | |
26 | Proceedings - 2009 6th International Workshop on Wearable and Implantable Body Sensor Networks, BSN 2009 | conference and proceedings | 0.305 | 21 | 0 | 61 | 0 | 176 | 59 | 2.89 | 0.00 | |
27 | Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems, ICCPS '10 | conference and proceedings | 0.161 | 17 | 0 | 23 | 0 | 63 | 21 | 2.74 | 0.00 | |
28 | EC2ND 2009 - European Conference on Computer Network Defense | conference and proceedings | 0.187 | 1 | 0 | 3 | 0 | 8 | 1 | 2.67 | 0.00 | |
29 | RecSys'10 - Proceedings of the 4th ACM Conference on Recommender Systems | conference and proceedings | 0.394 | 29 | 0 | 76 | 0 | 202 | 74 | 2.66 | 0.00 | |
30 | Proceedings of the 3rd European Workshop on System Security, EUROSEC'10 | conference and proceedings | 0.537 | 6 | 0 | 9 | 0 | 23 | 7 | 2.56 | 0.00 | |
31 | VANET'09 - Proceedings of the 6th ACM International Workshop on VehiculAr Inter-NETworking | conference and proceedings | 0.568 | 11 | 0 | 18 | 0 | 46 | 16 | 2.56 | 0.00 | |
32 | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | conference and proceedings | 2.124 | 66 | 125 | 300 | 656 | 765 | 294 | 2.54 | 5.25 | |
33 | Proceedings - Annual Computer Security Applications Conference, ACSAC | conference and proceedings | 0.539 | 76 | 0 | 143 | 0 | 327 | 135 | 2.51 | 0.00 | |
34 | 2009 International Conference on Information Processing in Sensor Networks, IPSN 2009 | conference and proceedings | 0.550 | 20 | 0 | 68 | 0 | 169 | 66 | 2.49 | 0.00 | |
35 | ISPASS 2010 - IEEE International Symposium on Performance Analysis of Systems and Software | conference and proceedings | 0.359 | 11 | 0 | 31 | 0 | 73 | 28 | 2.35 | 0.00 | |
36 | 2010 IEEE 26th Symposium on Mass Storage Systems and Technologies, MSST2010 | conference and proceedings | 0.201 | 15 | 0 | 32 | 0 | 75 | 30 | 2.34 | 0.00 | |
37 | Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS | conference and proceedings | 0.399 | 40 | 0 | 71 | 0 | 162 | 67 | 2.26 | 0.00 | |
38 | Proceedings - Design Automation Conference | conference and proceedings | 0.784 | 130 | 185 | 567 | 3012 | 1366 | 561 | 2.22 | 16.28 | |
39 | SOMA 2010 - Proceedings of the 1st Workshop on Social Media Analytics | conference and proceedings | 0.285 | 11 | 0 | 20 | 0 | 44 | 19 | 2.20 | 0.00 | |
40 | BuildSys'10 - Proceedings of the 2nd ACM Workshop on Embedded Sensing Systems for Energy-Efficiency in Buildings | conference and proceedings | 0.156 | 11 | 0 | 16 | 0 | 35 | 14 | 2.19 | 0.00 | |
41 | Proceedings - 2009 IEEE International Conference on Communications Workshops, ICC 2009 | conference and proceedings | 0.583 | 24 | 0 | 154 | 0 | 334 | 153 | 2.17 | 0.00 | |
42 | 2009 IEEE International Conference on 3D System Integration, 3DIC 2009 | conference and proceedings | 0.220 | 19 | 0 | 81 | 0 | 167 | 80 | 2.06 | 0.00 | |
43 | Proceedings -Design, Automation and Test in Europe, DATE | conference and proceedings | 0.515 | 97 | 314 | 930 | 5106 | 1872 | 924 | 2.05 | 16.26 | |
44 | 2010 Conference on Optical Fiber Communication, Collocated National Fiber Optic Engineers Conference, OFC/NFOEC 2010 | conference and proceedings | 0.715 | 32 | 0 | 561 | 0 | 1144 | 560 | 2.04 | 0.00 | |
45 | Proceedings of the 2010 IEEE International Symposium on Hardware-Oriented Security and Trust, HOST 2010 | conference and proceedings | 0.369 | 15 | 0 | 26 | 0 | 53 | 24 | 2.04 | 0.00 | |
46 | ICIS 2009 Proceedings - Thirtieth International Conference on Information Systems | conference and proceedings | 0.121 | 1 | 0 | 1 | 0 | 2 | 1 | 2.00 | 0.00 | |
47 | Proceedings of the 1st International Conference on Automotive User Interfaces and Interactive Vehicular Applications, AutomotiveUI 2009 | conference and proceedings | 0.347 | 14 | 0 | 24 | 0 | 47 | 22 | 1.96 | 0.00 | |
48 | 2010 1st IEEE International Conference on Smart Grid Communications, SmartGridComm 2010 | conference and proceedings | 0.254 | 15 | 0 | 21 | 0 | 41 | 19 | 1.95 | 0.00 | |
49 | Annual ACM Symposium on Parallelism in Algorithms and Architectures | conference and proceedings | 1.055 | 54 | 52 | 147 | 1017 | 310 | 141 | 1.95 | 19.56 | |
50 | Technical Digest - International Electron Devices Meeting | conference and proceedings | 0.927 | 126 | 221 | 698 | 2343 | 1592 | 656 | 1.95 | 10.60 | |