1 | Proceedings - IEEE INFOCOM | conference and proceedings | 1.257 | 241 | 453 | 1398 | 9155 | 5249 | 1390 | 3.67 | 20.21 | |
2 | Proceedings - IEEE International Conference on Robotics and Automation | conference and proceedings | 0.540 | 222 | 837 | 2603 | 16319 | 5788 | 2597 | 2.08 | 19.50 | |
3 | Proceedings of SPIE - The International Society for Optical Engineering | conference and proceedings | 0.248 | 193 | 14008 | 43458 | 198882 | 20003 | 42242 | 0.52 | 14.20 | |
4 | Proceedings - ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | conference and proceedings | 0.348 | 185 | 1349 | 4080 | 15449 | 4625 | 4073 | 1.11 | 11.45 | |
5 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 2.178 | 156 | 207 | 103 | 1760 | 729 | 94 | 7.12 | 8.50 | |
6 | IEEE International Conference on Intelligent Robots and Systems | conference and proceedings | 0.337 | 150 | 857 | 788 | 16265 | 1089 | 786 | 1.38 | 18.98 | |
7 | Proceedings - IEEE International Conference on Data Mining, ICDM | conference and proceedings | 0.408 | 143 | 154 | 862 | 3253 | 1277 | 816 | 1.23 | 21.12 | |
8 | Proceedings of the IEEE Conference on Decision and Control | conference and proceedings | 0.675 | 139 | 1259 | 4051 | 24396 | 4266 | 4038 | 1.02 | 19.38 | |
9 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 2.327 | 133 | 50 | 137 | 1706 | 1340 | 127 | 8.13 | 34.12 | |
10 | Proceedings - Design Automation Conference | conference and proceedings | 0.722 | 130 | 197 | 564 | 3754 | 1279 | 559 | 2.18 | 19.06 | |
11 | Conference Record - International Conference on Communications | conference and proceedings | 0.327 | 129 | 1334 | 3153 | 19776 | 3349 | 3142 | 1.02 | 14.82 | |
12 | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | conference and proceedings | 0.244 | 128 | 144 | 146 | 2974 | 141 | 145 | 0.97 | 20.65 | |
13 | IEEE Vehicular Technology Conference | conference and proceedings | 0.258 | 127 | 1007 | 3359 | 12618 | 2878 | 3334 | 0.79 | 12.53 | |
14 | Proceedings of the American Control Conference | conference and proceedings | 0.496 | 127 | 1103 | 1870 | 20646 | 2074 | 1865 | 0.98 | 18.72 | |
15 | Technical Digest - International Electron Devices Meeting | conference and proceedings | 0.536 | 126 | 207 | 664 | 2076 | 1282 | 659 | 1.78 | 10.03 | |
16 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 1.262 | 124 | 0 | 150 | 0 | 788 | 141 | 3.24 | 0.00 | |
17 | SAE Technical Papers | conference and proceedings | 0.462 Q1 | 122 | 2111 | 7909 | 30739 | 3456 | 7902 | 0.42 | 14.56 | |
18 | Procedia Engineering | conference and proceedings | 0.188 | 117 | 4660 | 5082 | 48422 | 1973 | 5045 | 0.38 | 10.39 | |
19 | Proceedings - International Conference on Image Processing, ICIP | conference and proceedings | 0.319 | 116 | 761 | 3186 | 9119 | 3065 | 3177 | 0.91 | 11.98 | |
20 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 3.428 | 114 | 222 | 638 | 1199 | 2958 | 631 | 4.95 | 5.40 | |
21 | Procedia CIRP | conference and proceedings | | 103 | 288 | 0 | 3992 | 0 | 0 | 0.00 | 13.86 | |
22 | Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS | conference and proceedings | 3.308 | 103 | 82 | 249 | 2357 | 952 | 243 | 3.58 | 28.74 | |
23 | GLOBECOM - IEEE Global Telecommunications Conference | conference and proceedings | 0.332 | 102 | 0 | 3442 | 0 | 3217 | 3434 | 0.85 | 0.00 | |
24 | Annual International Conference of the IEEE Engineering in Medicine and Biology - Proceedings | conference and proceedings | 0.155 | 99 | 1682 | 2135 | 23624 | 1290 | 2132 | 0.60 | 14.05 | |
25 | Proceedings of the Annual Hawaii International Conference on System Sciences | conference and proceedings | 0.203 | 98 | 689 | 981 | 20540 | 979 | 976 | 1.00 | 29.81 | |
26 | Conference Proceedings - IEEE Applied Power Electronics Conference and Exposition - APEC | conference and proceedings | 0.436 | 97 | 399 | 1026 | 5972 | 1517 | 1020 | 1.48 | 14.97 | |
27 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 1.084 | 97 | 41 | 121 | 1174 | 627 | 114 | 4.77 | 28.63 | |
28 | Proceedings -Design, Automation and Test in Europe, DATE | conference and proceedings | 0.423 | 97 | 288 | 963 | 4697 | 1648 | 957 | 1.54 | 16.31 | |
29 | Proceedings of the ACM SIGCOMM Internet Measurement Conference, IMC | conference and proceedings | 1.754 | 97 | 48 | 136 | 1388 | 904 | 130 | 5.58 | 28.92 | |
30 | IEEE Intelligent Vehicles Symposium, Proceedings | conference and proceedings | 0.370 | 93 | 193 | 640 | 3270 | 797 | 637 | 1.37 | 16.94 | |
31 | IEEE Wireless Communications and Networking Conference, WCNC | conference and proceedings | 0.304 | 93 | 605 | 1076 | 8720 | 1160 | 1072 | 1.11 | 14.41 | |
32 | IFAC-PapersOnLine | conference and proceedings | 0.265 Q3 | 92 | 2841 | 6928 | 45784 | 2907 | 6829 | 0.46 | 16.12 | |
33 | Conference Record - IAS Annual Meeting (IEEE Industry Applications Society) | conference and proceedings | 0.189 | 87 | 149 | 414 | 2518 | 194 | 411 | 0.45 | 16.90 | |
34 | IEEE Conference on Intelligent Transportation Systems, Proceedings, ITSC | conference and proceedings | 0.269 | 85 | 319 | 806 | 5306 | 673 | 798 | 0.81 | 16.63 | |
35 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 1.723 | 83 | 95 | 277 | 708 | 823 | 272 | 2.67 | 7.45 | |
36 | IEEE International Test Conference (TC) | conference and proceedings | 0.466 | 83 | 66 | 258 | 1308 | 254 | 252 | 0.86 | 19.82 | |
37 | Proceedings - IEEE International Symposium on Circuits and Systems | conference and proceedings | 0.207 | 83 | 0 | 1538 | 0 | 1079 | 1536 | 0.68 | 0.00 | |
38 | IEEE MTT-S International Microwave Symposium Digest | conference and proceedings | 0.491 | 80 | 611 | 1319 | 4780 | 1558 | 1311 | 1.26 | 7.82 | |
39 | Proceedings - Electronic Components and Technology Conference | conference and proceedings | 0.320 | 80 | 348 | 1005 | 4579 | 1370 | 999 | 1.40 | 13.16 | |
40 | IECON Proceedings (Industrial Electronics Conference) | conference and proceedings | 0.249 | 79 | 996 | 2055 | 16837 | 1600 | 2045 | 0.73 | 16.90 | |
41 | Proceedings - Annual Computer Security Applications Conference, ACSAC | conference and proceedings | 0.979 | 76 | 0 | 95 | 0 | 366 | 90 | 4.02 | 0.00 | |
42 | IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC | conference and proceedings | 0.253 | 74 | 437 | 1741 | 6178 | 1295 | 1737 | 0.71 | 14.14 | |
43 | IEEE Aerospace Conference Proceedings | conference and proceedings | 0.209 | 73 | 459 | 1260 | 6641 | 651 | 1248 | 0.55 | 14.47 | |
44 | IEEE Power and Energy Society General Meeting | conference and proceedings | 0.211 | 72 | 1132 | 898 | 18972 | 887 | 897 | 0.99 | 16.76 | |
45 | ASHRAE Transactions | conference and proceedings | 0.416 | 70 | 209 | 532 | 2388 | 221 | 521 | 0.36 | 11.43 | |
46 | Proceedings of the IEEE Power Engineering Society Transmission and Distribution Conference | conference and proceedings | | 70 | 291 | 0 | 2984 | 0 | 0 | 0.00 | 10.25 | |
47 | Proceedings - IEEE Military Communications Conference | conference and proceedings | 0.249 | 69 | 326 | 1198 | 4362 | 711 | 1195 | 0.61 | 13.38 | |
48 | Proceedings - International Conference on Network Protocols, ICNP | conference and proceedings | 0.596 | 69 | 54 | 130 | 1038 | 227 | 123 | 1.54 | 19.22 | |
49 | GIS: Proceedings of the ACM International Symposium on Advances in Geographic Information Systems | conference and proceedings | 0.545 | 67 | 122 | 286 | 2089 | 556 | 277 | 1.72 | 17.12 | |
50 | Proceedings - IEEE International Workshop on Robot and Human Interactive Communication | conference and proceedings | 0.268 | 67 | 181 | 425 | 3496 | 502 | 421 | 1.24 | 19.31 | |