1 | 33rd International Conference on Machine Learning, ICML 2016 | conference and proceedings | 2.718 | 73 | 0 | 345 | 0 | 5273 | 340 | 7.44 | 0.00 | |
2 | IEEE Internet of Things Journal | journal | 1.396 Q1 | 179 | 465 | 403 | 18163 | 4889 | 390 | 12.43 | 39.06 | |
3 | Journal of Network and Computer Applications | journal | 0.903 Q1 | 141 | 230 | 711 | 13365 | 4744 | 695 | 7.17 | 58.11 | |
4 | IEEE Transactions on Parallel and Distributed Systems | journal | 0.875 Q1 | 159 | 206 | 823 | 8388 | 4357 | 816 | 4.73 | 40.72 | |
5 | IEEE Transactions on Computers | journal | 0.831 Q1 | 141 | 142 | 769 | 5374 | 3580 | 757 | 4.21 | 37.85 | |
6 | IEEE Transactions on Circuits and Systems I: Regular Papers | journal | 0.980 | 181 | 378 | 795 | 12132 | 3531 | 788 | 4.24 | 32.10 | |
7 | Journal of Systems and Software | journal | 0.550 Q1 | 128 | 216 | 637 | 11974 | 2786 | 619 | 4.05 | 55.44 | |
8 | IEEE Transactions on Very Large Scale Integration (VLSI) Systems | journal | 0.405 Q2 | 119 | 258 | 1015 | 7944 | 2570 | 1010 | 2.51 | 30.79 | |
9 | IEEE Network | journal | 1.771 Q1 | 151 | 137 | 262 | 1920 | 2395 | 238 | 8.99 | 14.01 | |
10 | Proceedings - Design Automation Conference | conference and proceedings | 0.454 | 130 | 180 | 579 | 3673 | 1919 | 571 | 3.06 | 20.41 | |
11 | IEEE-RAS International Conference on Humanoid Robots | conference and proceedings | 0.515 | 53 | 165 | 759 | 3734 | 1750 | 752 | 1.96 | 22.63 | |
12 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 0.925 | 124 | 77 | 249 | 4515 | 1624 | 236 | 6.67 | 58.64 | |
13 | IEEE Transactions on Services Computing | journal | 0.973 Q1 | 87 | 73 | 233 | 3114 | 1443 | 222 | 5.94 | 42.66 | |
14 | MM 2015 - Proceedings of the 2015 ACM Multimedia Conference | conference and proceedings | 0.893 | 38 | 0 | 266 | 0 | 1291 | 262 | 0.00 | 0.00 | |
15 | Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016 | conference and proceedings | 2.631 | 32 | 0 | 61 | 0 | 1245 | 58 | 20.41 | 0.00 | |
16 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 1.449 | 133 | 68 | 117 | 3700 | 1231 | 111 | 11.55 | 54.41 | |
17 | International Journal of Human Computer Studies | journal | 0.688 Q1 | 145 | 74 | 248 | 4231 | 1148 | 241 | 3.45 | 57.18 | |
18 | Proceedings - International Conference on Distributed Computing Systems | conference and proceedings | 0.353 | 101 | 180 | 439 | 5773 | 972 | 434 | 2.23 | 32.07 | |
19 | Journal of Parallel and Distributed Computing | journal | 0.417 Q2 | 104 | 207 | 354 | 8270 | 945 | 343 | 2.26 | 39.95 | |
20 | International Conference for High Performance Computing, Networking, Storage and Analysis, SC | conference and proceedings | 0.394 | 67 | 0 | 238 | 0 | 943 | 234 | 3.13 | 0.00 | |
21 | Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017 | conference and proceedings | 0.345 | 37 | 0 | 338 | 0 | 793 | 337 | 2.35 | 0.00 | |
22 | UbiComp 2015 - Proceedings of the 2015 ACM International Joint Conference on Pervasive and Ubiquitous Computing | conference and proceedings | 0.512 | 42 | 0 | 121 | 0 | 781 | 119 | 0.00 | 0.00 | |
23 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 0.936 | 97 | 65 | 117 | 3264 | 774 | 112 | 6.62 | 50.22 | |
24 | International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS | conference and proceedings | 0.646 | 106 | 56 | 166 | 3356 | 768 | 157 | 5.22 | 59.93 | |
25 | IEEE Transactions on Cloud Computing | journal | 0.921 Q1 | 66 | 82 | 124 | 3070 | 729 | 118 | 4.54 | 37.44 | |
26 | SIGCOMM 2016 - Proceedings of the 2016 ACM Conference on Special Interest Group on Data Communication | conference and proceedings | 1.003 | 35 | 0 | 81 | 0 | 698 | 78 | 8.62 | 0.00 | |
27 | ACM Transactions on Multimedia Computing, Communications and Applications | journal | 0.569 Q1 | 63 | 78 | 179 | 3820 | 679 | 171 | 3.91 | 48.97 | |
28 | Transactions on Embedded Computing Systems | journal | 0.326 Q2 | 64 | 49 | 400 | 1691 | 679 | 376 | 1.68 | 34.51 | |
29 | RecSys 2016 - Proceedings of the 10th ACM Conference on Recommender Systems | conference and proceedings | 0.447 | 33 | 0 | 92 | 0 | 666 | 90 | 7.24 | 0.00 | |
30 | UbiComp 2016 - Proceedings of the 2016 ACM International Joint Conference on Pervasive and Ubiquitous Computing | conference and proceedings | 0.511 | 41 | 0 | 113 | 0 | 662 | 111 | 5.86 | 0.00 | |
31 | Proceedings - 2016 IEEE International Conference on Big Data, Big Data 2016 | conference and proceedings | 0.212 | 28 | 0 | 450 | 0 | 643 | 449 | 1.43 | 0.00 | |
32 | Eurasip Journal on Advances in Signal Processing | journal | 0.316 Q2 | 98 | 75 | 318 | 2894 | 631 | 313 | 1.90 | 38.59 | |
33 | International Conference on Ubiquitous and Future Networks, ICUFN | conference and proceedings | 0.186 | 31 | 215 | 687 | 2461 | 628 | 678 | 0.95 | 11.45 | |
34 | Proceedings of the Annual International Conference on Mobile Computing and Networking, MOBICOM | conference and proceedings | 1.098 | 148 | 0 | 80 | 0 | 628 | 77 | 0.00 | 0.00 | |
35 | Proceedings of the ACM SIGSOFT Symposium on the Foundations of Software Engineering | conference and proceedings | 0.371 | 38 | 0 | 140 | 0 | 609 | 138 | 4.35 | 0.00 | |
36 | Journal of the ACM | journal | 1.650 Q1 | 140 | 48 | 155 | 2366 | 604 | 138 | 3.46 | 49.29 | |
37 | Canadian Conference on Electrical and Computer Engineering | conference and proceedings | 0.168 | 49 | 177 | 810 | 2737 | 599 | 807 | 0.78 | 15.46 | |
38 | IEEE Consumer Electronics Magazine | trade journal | 0.422 Q2 | 50 | 85 | 314 | 1296 | 590 | 314 | 2.39 | 15.25 | |
39 | Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016 | conference and proceedings | 0.327 | 28 | 0 | 231 | 0 | 528 | 229 | 2.29 | 0.00 | |
40 | IEEE Design and Test | journal | 0.356 Q2 | 85 | 79 | 222 | 1271 | 513 | 175 | 2.35 | 16.09 | |
41 | Journal of Computer Science and Technology | journal | 0.292 Q3 | 59 | 90 | 294 | 3362 | 508 | 282 | 1.77 | 37.36 | |
42 | 12th IEEE International Conference Electronics, Energy, Environment, Communication, Computer, Control: (E3-C3), INDICON 2015 | conference and proceedings | 0.138 | 19 | 0 | 776 | 0 | 507 | 756 | 0.65 | 0.00 | |
43 | 2016 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2016 | conference and proceedings | 0.168 | 23 | 0 | 469 | 0 | 502 | 467 | 1.07 | 0.00 | |
44 | VLDB Journal | journal | 0.764 Q1 | 97 | 38 | 115 | 1771 | 497 | 110 | 3.15 | 46.61 | |
45 | IEEE Micro | journal | 0.351 Q2 | 103 | 68 | 199 | 744 | 479 | 173 | 2.32 | 10.94 | |
46 | Proceedings - IEEE International Conference on Cluster Computing, ICCC | conference and proceedings | 0.221 | 51 | 81 | 353 | 2098 | 477 | 336 | 1.38 | 25.90 | |
47 | ICMI 2015 - Proceedings of the 2015 ACM International Conference on Multimodal Interaction | conference and proceedings | 0.622 | 26 | 0 | 105 | 0 | 470 | 103 | 0.00 | 0.00 | |
48 | 2015 IEEE 21st International Symposium on High Performance Computer Architecture, HPCA 2015 | conference and proceedings | 0.937 | 33 | 0 | 58 | 0 | 466 | 55 | 0.00 | 0.00 | |
49 | IEEE Intelligent Vehicles Symposium, Proceedings | conference and proceedings | 0.284 | 31 | 0 | 173 | 0 | 443 | 172 | 2.56 | 0.00 | |
50 | FPGA 2017 - Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays | conference and proceedings | 1.009 | 19 | 0 | 34 | 0 | 429 | 32 | 12.62 | 0.00 | |